A Posit Based Multiply-accumulate Unit with Small Quire Size for Deep Neural Networks

نویسندگان

چکیده

Posit is a numerical representation that especially focused on deep neural networks (DNNs). However, specific register called quire necessary for posit multiply-accumulate (MAC) unit to ensure the calculation accuracy. In this paper, we proposed based MAC can optimize size according target applications. We also develop DNN library explore of unit. Experimental result with ResNet-9 showed achieved same level accuracy as Deep Positron but area reduction 43%.

برای دانلود باید عضویت طلایی داشته باشید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Low Power Multiply Accumulate Unit (MAC) for DSP Applications

Wireless Sensor Network (WSN) presents significant challenges for the application of distributed signal processing and distributed control. These systems will challenge us to apply appropriate techniques to construct capable processing units with sensing nodes considering energy constraints. Digital Signal Processing (DSP) is one of the capable processing units, but it is not commonly used in W...

متن کامل

Multiply & Accumulate Unit Using RNS Algorithm & Vedic Mathematics: A Review

High speed execution of arithmetic operations and high degree of precision in real time system are of major concern in any digital signal processing (DSP). Speed of DSP depends on speed of multiplier and algorithm used. In this paper we propose Residue Number System method for fast “carry free” floating point arithmetic operations. Floating Point RNS units have obvious advantages over tradition...

متن کامل

Low Complexity Multiply-Accumulate Units for Convolutional Neural Networks with Weight-Sharing

Convolutional neural networks (CNNs) are one of the most successful machine learning techniques for image, voice and video processing. CNNs require large amounts of processing capacity and memory bandwidth. Hardware accelerators have been proposed for CNNs which typically contain large numbers of multiplyaccumulate (MAC) units, the multipliers of which are large in integrated circuit (IC) gate ...

متن کامل

Design of Efficient Reversible Multiply Accumulate (MAC) Unit

The multiplication and accumulation are the vital operations involved in almost all the Digital Signal Processing applications. Consequently, there is a demand for high speed processors having dedicated hardware to enhance the speed with which these multiplications and accumulations are performed. In the present conventional circuits, the multiply accumulate unit multiplies the two operands, ad...

متن کامل

Architecture design of a coarse-grain reconfigurable multiply-accumulate unit for data-intensive applications

A run-time reconfigurable multiply-accumulate (MAC) architecture is introduced. It can be easily reconfigured to trade bitwidth for array size (thus maximizing the utilization of available hardware); process signed-magnitude, unsigned or 2’s complement data; make use of part of its structure or adapt its structure based on the specified throughput requirements and the anticipated computational ...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

ژورنال

عنوان ژورنال: Ipsj Transactions on System Lsi Design Methodology

سال: 2022

ISSN: ['1882-6687']

DOI: https://doi.org/10.2197/ipsjtsldm.15.16